Semiconductors

Japanese joint research group win Prime Minister's Award with ultra high-performance computing platform using jointly developed 64-qubit quantum computer

TOKYO, March 15, 2024 /PRNewswire/ -- A Japanese consortium of research partners including RIKEN, the National Institute of Advanced Industrial Science and Technology (AIST), the National Institute of Information and Communications Technology (NICT),Osaka University, Fujitsu Limited, and Nippon T...

2024-03-15 18:15 2030

MPI Corporation's Advanced Semiconductor Test Division Joins Forces with Keysight Technologies as a Keysight Solutions Partner

HSINCHU, March 14, 2024 /PRNewswire/ -- MPI Corporation, a global leader in semiconductor testing solutions, is pleased to announce a landmark partnership with Keysight Technologies, a global innovation partner delivering market-leading design, emulation, and test solutions to help engineers deve...

2024-03-14 21:30 2342

ChipMOS to Present at BofA Securities 2024 APAC TMT CONFERENCE

HSINCHU, March 14, 2024 /PRNewswire-FirstCall/ -- ChipMOS TECHNOLOGIES INC. ("ChipMOS" or the "Company") (Taiwan Stock Exchange:8150 and Nasdaq: IMOS), an industry leading provider of outsourced semiconductor assembly and test services ("OSAT")...

2024-03-14 18:00 2550

AST breaks ground on Singapore facility to produce high end substrates and develop advanced technologies to meet global demand

SINGAPORE, March 14, 2024 /PRNewswire/ -- Advanced Substrate Technologies Pte. Ltd. (AST), aSingapore-based subsidiary of TOPPAN Holdings Inc., a Japanese pioneer in printing technologies and a leading supplier of high quality Flip Chip Ball Grid Array (FC-BGA) substrates[1], broke ground for the...

2024-03-14 16:00 2014

TIER IV partners with Arm on new automotive technologies

TOKYO, March 13, 2024 /PRNewswire/ -- TIER IV , a pioneer in open-source autonomous driving (AD) technology, is excited to be collaborating withArm , a leader in semiconductor and software design, as a key AD end-application partner. A group of indus...

2024-03-14 07:00 1259

SurplusGLOBAL Leads with Sustainable Value Creation Based on Legacy Semiconductor Equipment at SEMICON China 2024

SEOUL, South Korea, March 13, 2024 /PRNewswire/ -- SurplusGLOBAL (Booth No. N2351), a leader in the legacy semiconductor equipment sector, is set to make a significant impact at SEMICONCHINA 2024. The company, specializing in legacy semiconductor equipment and parts, plans to showcase customized ...

2024-03-13 22:00 1451

ERS electronic introduces the first semi-automatic machine of its Luminex product line featuring groundbreaking PhotoThermal debonding technology

MUNICH, March 13, 2024 /PRNewswire/ -- ERS electronic , the industry leader of thermal management solutions for semiconductor manufacturing is presenting the first machine from its innovative Luminex product line featuring the cutting-edge "PhotoThermal" debonding techno...

2024-03-13 17:50 1889

Silicon Motion Unveils 6nm UFS 4.0 Controller for AI Smartphones, Edge Computing and Automotive Applications

Company also launches its second generation UFS3.1 controller supporting the latest high speed 3D TLC and QLC NAND TAIPEI and MILPITAS, Calif., March 13, 2024 /PRNewswire/ -- Silicon Motion Technology Corporation (NasdaqGS: SIMO) ("Silicon Motion"), a global leader in designing and marketing NAN...

2024-03-13 08:00 2377

Silicon Motion Unveils 6nm UFS 4.0 Controller for AI Smartphones, Edge Computing and Automotive Applications

Company also launches its second generation UFS3.1 controller supporting the latest high speed 3D TLC and QLC NAND TAIPEI and MILPITAS, Calif., March 12, 2024 /PRNewswire/ -- Silicon Motion Technology Corporation (NasdaqGS: SIMO) ("Silicon Motion"), a global leader in designing and marketing NAN...

2024-03-12 21:00 1390

Silicon Box cutting-edge, advanced panel-level packaging foundry announces $3.6B investment for expansion into Italy

Investment in Northern Italy (Nord Italia), will bring first-of-its-kind, end-to-end chiplet based semiconductor system integration toEurope * Investment of up to $3.6B (€3.2B) will create approximately 1,600 semiconductor jobs at Silicon Box's new manufacturing facility, and create thousands ...

2024-03-12 19:51 2339

IMS Developing Japan's First "Cold (Neutral) Atom" Quantum Computers: New Collaboration with 10 Industry Partners toward Commercialization

OKAZAKI, Japan, March 12, 2024 /PRNewswire/ -- Institute for Molecular Science (hereinafter "the IMS"), National Institutes of Natural Sciences, has established a "Commercialization Preparatory Platform (PF)" to accelerate the development of novel quantum computers, based on the achievement of a ...

2024-03-12 14:00 1343

Nirmalya Maity Joins YES as Chief Strategy Officer

FREMONT, Calif., March 12, 2024 /PRNewswire/ -- Yield Engineering Systems, Inc. (YES), a leading manufacturer of process equipment for semiconductor advanced packaging, life sciences, and "More-than-Moore" applications, announced that Nirmalya Maity has joined the company as its Chief Strategy Off...

2024-03-12 10:00 1898

Bridging Worlds with Fibre: YOFC's ZHUANG Dan on Pioneering Connectivity for the Intelligent Era

BARCELONA, Spain, March 7, 2024 /PRNewswire/ -- Yangtze Optical Fibre and Cable Joint Stock Limited Company ("YOFC"), a global leader in fibre optic cables and comprehensive solutions, made a significant impact at the Mobile World Congress (MWC)Barcelona 2024, held on February 26th. Under the ban...

2024-03-07 14:01 2549

Omdia: In-cell touch TFT LCD displays in automotive CSD application will become dominant, reaching over 50% shipment share in 2025

LONDON, March 6, 2024 /PRNewswire/ -- While shipment growth for touch displays used in automotive central stack displays (CSD) has slowed down in recent years, newOmdia research re...

2024-03-06 09:00 2225

Aided by the democratization of generative AI, the robotics AI chipset market is expected to reach US$866m globally by 2028 finds Omdia

LONDON, March 5, 2024 /PRNewswire/ -- Since the democratization of machine learning (ML) in robotics, ML-powered workloads have become highly diverse. As generative AI (GenAI) technology continues to proliferate, foundation models are poised to replace or augment existing ML and deep learning mod...

2024-03-05 20:00 1514

LAMPRO International Distributor Summit: A Feast of Gathering and Future Prospect

-Focus On Pro, Pro For Mate- HUIZHOU, China, March 5, 2024 /PRNewswire/ -- On March 2-3, right on the 20th anniversary of the establishment of LAMPRO, the International Distributor Summit was successfully concluded inHuizhou. With the theme of "Focus On Pro, Pro For Mate", the summit attracted m...

2024-03-05 17:50 1708

Exyte acquires CollabraTech Solutions, a specialist in delivery systems and contract manufacturing services for high-tech facilities

* Acquisition of US specialist in the design and production of distribution systems and contract manufacturing services * CollabraTech Solutions' clients include semiconductor industry and technology leaders * Exyte CEO Büchele: "The acquisition of highly specialized companies brings expert...

2024-03-04 21:00 818

ISLE 2024 Opens in Shenzhen, Displaying Latest Technologies for Display, Audio-Visual, System Integration and LED

SHENZHEN, China, Feb. 29, 2024 /PRNewswire/ -- ISLE 2024, Asia's biggest exhibition for Smart Screen Display, Audio-Visual, System Integration and LED, opens onFebruary 29th in Shenzhen World Exhibition & Convention Center (Shenzhen World). Shen...

2024-02-29 21:11 2256

Webinar: AT&S introduces the future of computing

SHANGHAI, Feb. 29, 2024 /PRNewswire/ -- On March 20, 2024, global microelectronics champion AT&S is hosting a unique webinar for journalists and the general public that will provide insights into the future of computing at a pivotal moment in the evolution of digital technology. Under the title "...

2024-02-29 15:50 1455

Gauss Labs and SK hynix Publish the Latest Results on AI-based Semiconductor Metrology Technology at SPIE AL 2024

-  Two joint academic papers on AI-based metrology technology presented -  Gauss Labs's innovative technology improves process control and equipment productivity in semiconductor manufacturing -  Gauss Labs is at the forefront, leading industrial AI efforts to transform the manufacturing industr...

2024-02-29 13:50 1138
1234567 ... 105